ResearchBib Share Your Research, Maximize Your Social Impacts
Sign for Notice Everyday Sign up >> Login

MC 2014 - 2nd International workshop on the Integration of mixed-criticality subsystems on multi-core and manycore processors

Date2014-01-22

Deadline2013-10-18

VenueVienna, Austria Austria

Keywords

Websitehttp://alfresco.dit.upm.es/multipartes/e...

Topics/Call fo Papers

Modern embedded applications typically integrate a multitude of functionalities with potentially different criticality levels into a single system. Without appropriate preconditions, the integration of mixed-criticality subsystems can lead to a significant and potentially unacceptable increase of engineering and certification costs. A promising solution is to incorporate mechanisms that establish multiple partitions with strict temporal and spatial separation between the individual partitions. In this approach, subsystems with different levels of criticality can be placed in different partitions and can be verified and validated in isolation. This workshop focuses on solutions for the integration of mixed-criticality subsystems on multi-core processors.
Organizers and their affiliation
Peter Puschner, TU Vienna
Salvador TRUJILLO, IK4-IKERLAN
Michael PAULITSCH, EADS
Roman OBERMAISSER, Universität Siegen
Sascha UHRIG, TU Dortmund
Francisco J. CAZORLA, BSC
Workshop main topics
The workshop will be dedicated to the following topics:
Multi-Processor Systems-on-a-Chip (MPSoCs) for mixed-criticality applications
Hypervisors and operating systems for MPSoCs
Hard real-time guarantees & certification aspects
Model-driven engineering tools for effort reduction
Application in automotive, avionics, railway, energy and industrial control
Existing projects and future research directions
Preliminary deadlines
The workshop program and schedule will be completed by September 30, 2013. This will give the organizers more than 3 months to promote the workshop.
Duration & format of workshop
Given the importance and the number of projects working on topics around MCS we propose a 1.5 day workshop with an exciting program. The first day is devoted to running projects presenting their main results impacting the future design of MCS. The second day is devoted to present the goals, objectives and approach of recently accepted projects.
Each day will be opened by a short welcome, followed by several talks on European Projects touching the mixed-criticality systems topic, and will be concluded by a panel discussion. The following tentative schedule is planned:
DAY 1: Running and recently finished EU projects on MCS. presentation of r results and discussion
MultiPARTES, ACROSS, parMERASA, PROARTIS, VIRTICAL, CERTAINTY, ARAMIS, RECOMP, CRYSTAL, T-CREST (Sessions will be grouped by thematic: certification, timing analysis, virtualization, etc)
Panel 1: Road to certification of mixed-criticality systems: challenges ahead
DAY 2: New projects on MCS. Introduction to goals, objectives.
PROXIMA, DREAMS, CONTREX,
Panel 2: Manycore Mixed-Criticality Systems

Last modified: 2013-09-10 23:27:50